summaryrefslogtreecommitdiffstats
path: root/leon3.c (follow)
Commit message (Collapse)AuthorAgeFilesLines
* Add basic DSU support present on GR712RCHEADmasterSebastian Huber2023-09-291-0/+1
| | | | | Only the time tag counter register is implemented so far. It may be used by clock drivers as a free-running counter to measure time.
* Add -extirq option to support simulating the UT700Sebastian Huber2022-10-261-2/+3
|
* Support extended interrupts2.29Sebastian Huber2021-08-041-0/+3
|
* Added support for RISCV32 systems with CLINT/PLICJiri Gaisler2020-12-151-2/+2
|
* Make grlib IP cores more modular and move them to grlib.cJiri Gaisler2020-12-011-1017/+51
|
* Add networking support using host tap device2.23Jiri Gaisler2020-10-281-7/+13
| | | | | | * Emulation of GRETH 10/100 Mbit MAC and PHY * Supported only on linux
* Fix incorrect operation on big-endian hostsJiri Gaisler2020-02-291-3/+3
|
* Remove unused variable xcpuJiri Gaisler2019-11-081-5/+4
|
* Fix typo that caused cygwin build errorJiri Gaisler2019-11-021-1/+1
|
* Fix C formatting with indentJiri Gaisler2019-06-111-107/+122
|
* Avoid array out of bounds warning on RISC-VJiri Gaisler2019-06-111-1/+1
|
* Silence warnings when compiled with LLVMJiri Gaisler2019-06-111-7/+8
|
* Made L1 cache optional through --enable-l1cacheJiri Gaisler2019-05-281-22/+71
| | | | | | | * Removed stale config.h * Updated autoconf script with relevant checks * Re-implemented leon3/grlib timer with less events * Bumped version to 2.15
* Standalone sis - initial commitJiri Gaisler2019-05-141-0/+1151