summaryrefslogtreecommitdiffstats
path: root/spec/build/bsps/arm/lpc32xx/optu3clk.yml
blob: 998841d033318445e21ae8b7ecc8050bb1787689 (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
SPDX-License-Identifier: CC-BY-SA-4.0 OR BSD-2-Clause
actions:
- get-integer: null
- define: null
build-type: option
copyrights:
- Copyright (C) 2020 embedded brains GmbH (http://www.embedded-brains.de)
default:
- enabled-by: true
  value: 0x00001386
description: |
  clock configuration for UART 3
enabled-by: true
format: '{:#010x}'
links: []
name: LPC32XX_CONFIG_U3CLK
type: build