summaryrefslogtreecommitdiffstats
path: root/spec/build/bsps/arm/lpc32xx/optu3clk.yml
blob: e8aa25671f75d89c15d2be9ac09968a69dfc110d (plain) (blame)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
SPDX-License-Identifier: CC-BY-SA-4.0 OR BSD-2-Clause
actions:
- get-integer: null
- define: null
build-type: option
copyrights:
- Copyright (C) 2020 embedded brains GmbH (http://www.embedded-brains.de)
default: 4998
default-by-variant: []
description: |
  clock configuration for UART 3
enabled-by: true
format: '{:#010x}'
links: []
name: LPC32XX_CONFIG_U3CLK
type: build