From 04436ae7d83825300df85cabc3953f9c0314fe72 Mon Sep 17 00:00:00 2001 From: Sebastian Huber Date: Thu, 10 Dec 2015 13:46:07 +0100 Subject: Optional POSIX Signals initialization Update #2408. --- cpukit/posix/src/psignal.c | 9 ++++++++- 1 file changed, 8 insertions(+), 1 deletion(-) (limited to 'cpukit/posix/src/psignal.c') diff --git a/cpukit/posix/src/psignal.c b/cpukit/posix/src/psignal.c index f00bcdbb52..08b049bc46 100644 --- a/cpukit/posix/src/psignal.c +++ b/cpukit/posix/src/psignal.c @@ -33,6 +33,7 @@ #include #include #include +#include /* * Ensure we have the same number of vectors and default vector entries @@ -166,7 +167,7 @@ void _POSIX_signals_Action_handler( executing->Wait.return_code = hold_errno; } -void _POSIX_signals_Manager_Initialization(void) +static void _POSIX_signals_Manager_Initialization(void) { uint32_t signo; uint32_t maximum_queued_signals; @@ -213,3 +214,9 @@ void _POSIX_signals_Manager_Initialization(void) _Chain_Initialize_empty( &_POSIX_signals_Inactive_siginfo ); } } + +RTEMS_SYSINIT_ITEM( + _POSIX_signals_Manager_Initialization, + RTEMS_SYSINIT_POSIX_SIGNALS, + RTEMS_SYSINIT_ORDER_MIDDLE +); -- cgit v1.2.3