From c64e4ed48285a0c944905bc02de89c20038f428b Mon Sep 17 00:00:00 2001 From: Joel Sherrill Date: Mon, 15 Jan 1996 21:50:28 +0000 Subject: updates from Tony Bennett for PA and UNIX ports --- c/src/lib/libbsp/shmdr/shm.h | 6 +----- 1 file changed, 1 insertion(+), 5 deletions(-) (limited to 'c/src/lib/libbsp/shmdr/shm.h') diff --git a/c/src/lib/libbsp/shmdr/shm.h b/c/src/lib/libbsp/shmdr/shm.h index f4671dde97..2b0e39320d 100644 --- a/c/src/lib/libbsp/shmdr/shm.h +++ b/c/src/lib/libbsp/shmdr/shm.h @@ -470,11 +470,7 @@ void Shm_Locked_queue_Initialize( /* portable routines */ void Init_env_pool(); void Shm_Print_statistics( void ); -void MPCI_Fatal( - Internal_errors_Source source, - boolean is_internal, - rtems_unsigned32 error - ); +void MPCI_Fatal( Internal_errors_Source, boolean, rtems_unsigned32 ); rtems_task Shm_Cause_interrupt( rtems_unsigned32 ); void Shm_Poll(); void Shm_setclockvec(); -- cgit v1.2.3