From 9b2c9693692991016e1674856fa147b65156a718 Mon Sep 17 00:00:00 2001 From: Joel Sherrill Date: Thu, 13 Jan 2000 15:07:03 +0000 Subject: Made sweep of changes to get all BSPs to the same point on the linkcmds and memory layout. Next step is to share the same bsp_pretasking_hook. --- c/src/lib/libbsp/m68k/efi68k/startup/bspstart.c | 5 +++++ c/src/lib/libbsp/m68k/efi68k/startup/linkcmds | 5 ++--- 2 files changed, 7 insertions(+), 3 deletions(-) (limited to 'c/src/lib/libbsp/m68k/efi68k/startup') diff --git a/c/src/lib/libbsp/m68k/efi68k/startup/bspstart.c b/c/src/lib/libbsp/m68k/efi68k/startup/bspstart.c index eb89a283cb..fdc19d1c58 100644 --- a/c/src/lib/libbsp/m68k/efi68k/startup/bspstart.c +++ b/c/src/lib/libbsp/m68k/efi68k/startup/bspstart.c @@ -90,6 +90,7 @@ void bsp_pretasking_hook(void) void bsp_start( void ) { void *vbr; + extern unsigned int _WorkspaceBase; /* set_debug_traps(); */ /* breakpoint(); */ @@ -105,7 +106,11 @@ void bsp_start( void ) Cpu_table.interrupt_vector_table = vbr; BSP_Configuration.work_space_start = (void *) + (((unsigned int)_WorkspaceBase + STACK_SIZE + 0x100) & 0xffffff00); + +#if 0 (((unsigned int)_end + STACK_SIZE + 0x100) & 0xffffff00); +#endif /* Clock_exit is done as an atexit() function */ } diff --git a/c/src/lib/libbsp/m68k/efi68k/startup/linkcmds b/c/src/lib/libbsp/m68k/efi68k/startup/linkcmds index c01b91a331..775c84d955 100644 --- a/c/src/lib/libbsp/m68k/efi68k/startup/linkcmds +++ b/c/src/lib/libbsp/m68k/efi68k/startup/linkcmds @@ -20,7 +20,7 @@ __DYNAMIC = 0; * | _edata | * +--------------------+ * | .bss | - * | __bss_start | start of bss, cleared by crt0 + * | _clear_start| start of bss, cleared by crt0 * | _end | start of heap, used by sbrk() * +--------------------+ * | heap space | @@ -144,7 +144,6 @@ SECTIONS PROVIDE (_copy_end = .); } >ram .bss : { - PROVIDE (_bss_start = .); PROVIDE (_clear_start = .); *(.bss) *(COMMON) @@ -159,7 +158,7 @@ SECTIONS . += HeapSize; PROVIDE (_HeapEnd = .); - clear_end = .; + PROVIDE (_clear_end = .); PROVIDE (_WorkspaceBase = .); } >ram -- cgit v1.2.3