summaryrefslogtreecommitdiffstats
path: root/c/src/lib/libcpu/sh/sh7032/delay/delay.c
diff options
context:
space:
mode:
Diffstat (limited to 'c/src/lib/libcpu/sh/sh7032/delay/delay.c')
-rw-r--r--c/src/lib/libcpu/sh/sh7032/delay/delay.c8
1 files changed, 4 insertions, 4 deletions
diff --git a/c/src/lib/libcpu/sh/sh7032/delay/delay.c b/c/src/lib/libcpu/sh/sh7032/delay/delay.c
index 1402b683ab..583e36e2bb 100644
--- a/c/src/lib/libcpu/sh/sh7032/delay/delay.c
+++ b/c/src/lib/libcpu/sh/sh7032/delay/delay.c
@@ -43,10 +43,10 @@ void CPU_delay( unsigned32 microseconds )
register unsigned32 _delay =
(microseconds) * (clicks_per_usec);
asm volatile (
-"0: add #-4,%0\n
- nop\n
- cmp/pl %0\n
- bt 0b
+"0: add #-4,%0\n\
+ nop\n\
+ cmp/pl %0\n\
+ bt 0b\n\
nop"
:: "r" (_delay) );
}