summaryrefslogtreecommitdiffstats
path: root/c/src/lib/libbsp/powerpc
diff options
context:
space:
mode:
Diffstat (limited to '')
-rw-r--r--c/src/lib/libbsp/powerpc/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/dmv177/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/dmv177/clock/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/dmv177/console/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/dmv177/include/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/dmv177/scv64/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/dmv177/sonic/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/dmv177/start/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/dmv177/startup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/dmv177/timer/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/dmv177/tod/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/dmv177/wrapup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/eth_comm/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/eth_comm/canbus/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/eth_comm/console/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/eth_comm/include/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/eth_comm/network/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/eth_comm/start/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/eth_comm/startup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/eth_comm/wrapup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/helas403/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/helas403/dlentry/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/helas403/flashentry/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/helas403/include/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/helas403/startup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/helas403/wrapup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/mcp750/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/mcp750/bootloader/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/mcp750/console/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/mcp750/include/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/mcp750/irq/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/mcp750/openpic/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/mcp750/pci/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/mcp750/residual/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/mcp750/start/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/mcp750/startup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/mcp750/vectors/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/mcp750/wrapup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/motorola_powerpc/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/motorola_powerpc/bootloader/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/motorola_powerpc/console/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/motorola_powerpc/include/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/motorola_powerpc/irq/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/motorola_powerpc/openpic/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/motorola_powerpc/pci/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/motorola_powerpc/residual/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/motorola_powerpc/start/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/motorola_powerpc/startup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/motorola_powerpc/vectors/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/motorola_powerpc/wrapup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/papyrus/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/papyrus/dlentry/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/papyrus/flashentry/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/papyrus/include/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/papyrus/startup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/papyrus/wrapup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/clock/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/console/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/include/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/network/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/nvram/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/pci/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/start/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/startup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/timer/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/tod/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/universe/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/vectors/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/ppcn_60x/wrapup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/psim/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/psim/clock/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/psim/console/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/psim/include/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/psim/shmsupp/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/psim/start/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/psim/startsim/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/psim/startup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/psim/timer/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/psim/tools/Makefile.in2
-rw-r--r--c/src/lib/libbsp/powerpc/psim/vectors/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/psim/wrapup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/score603e/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/score603e/PCI_bus/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/score603e/clock/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/score603e/console/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/score603e/include/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/score603e/start/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/score603e/startup/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/score603e/timer/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/score603e/tod/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/score603e/vectors/Makefile.in4
-rw-r--r--c/src/lib/libbsp/powerpc/score603e/wrapup/Makefile.in4
93 files changed, 185 insertions, 185 deletions
diff --git a/c/src/lib/libbsp/powerpc/Makefile.in b/c/src/lib/libbsp/powerpc/Makefile.in
index d4a86c21b5..820d4b70a6 100644
--- a/c/src/lib/libbsp/powerpc/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../..
-subdir = libbsp/powerpc
+top_builddir = ..
+subdir = powerpc
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/dmv177/Makefile.in b/c/src/lib/libbsp/powerpc/dmv177/Makefile.in
index 30db689d6c..ce25b30772 100644
--- a/c/src/lib/libbsp/powerpc/dmv177/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/dmv177/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../..
-subdir = libbsp/powerpc/dmv177
+top_builddir = ../..
+subdir = powerpc/dmv177
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/dmv177/clock/Makefile.in b/c/src/lib/libbsp/powerpc/dmv177/clock/Makefile.in
index 36c4512313..78f7cc853c 100644
--- a/c/src/lib/libbsp/powerpc/dmv177/clock/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/dmv177/clock/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/dmv177/clock
+top_builddir = ../../..
+subdir = powerpc/dmv177/clock
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/dmv177/console/Makefile.in b/c/src/lib/libbsp/powerpc/dmv177/console/Makefile.in
index 4f1df44757..0833f84096 100644
--- a/c/src/lib/libbsp/powerpc/dmv177/console/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/dmv177/console/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/dmv177/console
+top_builddir = ../../..
+subdir = powerpc/dmv177/console
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/dmv177/include/Makefile.in b/c/src/lib/libbsp/powerpc/dmv177/include/Makefile.in
index c0a64a613c..44127a8271 100644
--- a/c/src/lib/libbsp/powerpc/dmv177/include/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/dmv177/include/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/dmv177/include
+top_builddir = ../../..
+subdir = powerpc/dmv177/include
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/dmv177/scv64/Makefile.in b/c/src/lib/libbsp/powerpc/dmv177/scv64/Makefile.in
index d6e07a7232..2e6a7b8b20 100644
--- a/c/src/lib/libbsp/powerpc/dmv177/scv64/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/dmv177/scv64/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/dmv177/scv64
+top_builddir = ../../..
+subdir = powerpc/dmv177/scv64
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/dmv177/sonic/Makefile.in b/c/src/lib/libbsp/powerpc/dmv177/sonic/Makefile.in
index a9221ccdad..5eabc7636f 100644
--- a/c/src/lib/libbsp/powerpc/dmv177/sonic/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/dmv177/sonic/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/dmv177/sonic
+top_builddir = ../../..
+subdir = powerpc/dmv177/sonic
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/dmv177/start/Makefile.in b/c/src/lib/libbsp/powerpc/dmv177/start/Makefile.in
index 8507b93cba..666647d7a7 100644
--- a/c/src/lib/libbsp/powerpc/dmv177/start/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/dmv177/start/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/dmv177/start
+top_builddir = ../../..
+subdir = powerpc/dmv177/start
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/dmv177/startup/Makefile.in b/c/src/lib/libbsp/powerpc/dmv177/startup/Makefile.in
index 755b0dcfd5..904bdb2c66 100644
--- a/c/src/lib/libbsp/powerpc/dmv177/startup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/dmv177/startup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/dmv177/startup
+top_builddir = ../../..
+subdir = powerpc/dmv177/startup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/dmv177/timer/Makefile.in b/c/src/lib/libbsp/powerpc/dmv177/timer/Makefile.in
index c0609fdf98..abd47fc400 100644
--- a/c/src/lib/libbsp/powerpc/dmv177/timer/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/dmv177/timer/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/dmv177/timer
+top_builddir = ../../..
+subdir = powerpc/dmv177/timer
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/dmv177/tod/Makefile.in b/c/src/lib/libbsp/powerpc/dmv177/tod/Makefile.in
index da89f617d3..a87c30d68a 100644
--- a/c/src/lib/libbsp/powerpc/dmv177/tod/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/dmv177/tod/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/dmv177/tod
+top_builddir = ../../..
+subdir = powerpc/dmv177/tod
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/dmv177/wrapup/Makefile.in b/c/src/lib/libbsp/powerpc/dmv177/wrapup/Makefile.in
index 9b12cb7d4a..00ac210122 100644
--- a/c/src/lib/libbsp/powerpc/dmv177/wrapup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/dmv177/wrapup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/dmv177/wrapup
+top_builddir = ../../..
+subdir = powerpc/dmv177/wrapup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/eth_comm/Makefile.in b/c/src/lib/libbsp/powerpc/eth_comm/Makefile.in
index d149b5b61f..16bbb5a982 100644
--- a/c/src/lib/libbsp/powerpc/eth_comm/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/eth_comm/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../..
-subdir = libbsp/powerpc/eth_comm
+top_builddir = ../..
+subdir = powerpc/eth_comm
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/eth_comm/canbus/Makefile.in b/c/src/lib/libbsp/powerpc/eth_comm/canbus/Makefile.in
index b498709c30..b0d880a83e 100644
--- a/c/src/lib/libbsp/powerpc/eth_comm/canbus/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/eth_comm/canbus/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/eth_comm/canbus
+top_builddir = ../../..
+subdir = powerpc/eth_comm/canbus
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/eth_comm/console/Makefile.in b/c/src/lib/libbsp/powerpc/eth_comm/console/Makefile.in
index 9b376c2bcb..bf4e64ddc4 100644
--- a/c/src/lib/libbsp/powerpc/eth_comm/console/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/eth_comm/console/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/eth_comm/console
+top_builddir = ../../..
+subdir = powerpc/eth_comm/console
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/eth_comm/include/Makefile.in b/c/src/lib/libbsp/powerpc/eth_comm/include/Makefile.in
index 6bd962f721..843c3614d0 100644
--- a/c/src/lib/libbsp/powerpc/eth_comm/include/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/eth_comm/include/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/eth_comm/include
+top_builddir = ../../..
+subdir = powerpc/eth_comm/include
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/eth_comm/network/Makefile.in b/c/src/lib/libbsp/powerpc/eth_comm/network/Makefile.in
index c9c64e3c02..dd528dbab8 100644
--- a/c/src/lib/libbsp/powerpc/eth_comm/network/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/eth_comm/network/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/eth_comm/network
+top_builddir = ../../..
+subdir = powerpc/eth_comm/network
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/eth_comm/start/Makefile.in b/c/src/lib/libbsp/powerpc/eth_comm/start/Makefile.in
index 8fd63dd721..cf0ad8c163 100644
--- a/c/src/lib/libbsp/powerpc/eth_comm/start/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/eth_comm/start/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/eth_comm/start
+top_builddir = ../../..
+subdir = powerpc/eth_comm/start
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/eth_comm/startup/Makefile.in b/c/src/lib/libbsp/powerpc/eth_comm/startup/Makefile.in
index 9463d297e6..199c5656be 100644
--- a/c/src/lib/libbsp/powerpc/eth_comm/startup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/eth_comm/startup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/eth_comm/startup
+top_builddir = ../../..
+subdir = powerpc/eth_comm/startup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/eth_comm/wrapup/Makefile.in b/c/src/lib/libbsp/powerpc/eth_comm/wrapup/Makefile.in
index e7a9e986fd..40ef8ed183 100644
--- a/c/src/lib/libbsp/powerpc/eth_comm/wrapup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/eth_comm/wrapup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/eth_comm/wrapup
+top_builddir = ../../..
+subdir = powerpc/eth_comm/wrapup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/helas403/Makefile.in b/c/src/lib/libbsp/powerpc/helas403/Makefile.in
index 1748430d14..d0387aced0 100644
--- a/c/src/lib/libbsp/powerpc/helas403/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/helas403/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../..
-subdir = libbsp/powerpc/helas403
+top_builddir = ../..
+subdir = powerpc/helas403
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/helas403/dlentry/Makefile.in b/c/src/lib/libbsp/powerpc/helas403/dlentry/Makefile.in
index 5e625665ff..c2239ceed2 100644
--- a/c/src/lib/libbsp/powerpc/helas403/dlentry/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/helas403/dlentry/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/helas403/dlentry
+top_builddir = ../../..
+subdir = powerpc/helas403/dlentry
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/helas403/flashentry/Makefile.in b/c/src/lib/libbsp/powerpc/helas403/flashentry/Makefile.in
index 209e13c4b7..7366eac279 100644
--- a/c/src/lib/libbsp/powerpc/helas403/flashentry/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/helas403/flashentry/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/helas403/flashentry
+top_builddir = ../../..
+subdir = powerpc/helas403/flashentry
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/helas403/include/Makefile.in b/c/src/lib/libbsp/powerpc/helas403/include/Makefile.in
index b8d163f2d3..40c789094f 100644
--- a/c/src/lib/libbsp/powerpc/helas403/include/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/helas403/include/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/helas403/include
+top_builddir = ../../..
+subdir = powerpc/helas403/include
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/helas403/startup/Makefile.in b/c/src/lib/libbsp/powerpc/helas403/startup/Makefile.in
index f3dc44fc8a..95369e85e7 100644
--- a/c/src/lib/libbsp/powerpc/helas403/startup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/helas403/startup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/helas403/startup
+top_builddir = ../../..
+subdir = powerpc/helas403/startup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/helas403/wrapup/Makefile.in b/c/src/lib/libbsp/powerpc/helas403/wrapup/Makefile.in
index 8f979f1e29..870076a75a 100644
--- a/c/src/lib/libbsp/powerpc/helas403/wrapup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/helas403/wrapup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/helas403/wrapup
+top_builddir = ../../..
+subdir = powerpc/helas403/wrapup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/mcp750/Makefile.in b/c/src/lib/libbsp/powerpc/mcp750/Makefile.in
index 50a9023bd3..1e61ebe329 100644
--- a/c/src/lib/libbsp/powerpc/mcp750/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/mcp750/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../..
-subdir = libbsp/powerpc/mcp750
+top_builddir = ../..
+subdir = powerpc/mcp750
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/mcp750/bootloader/Makefile.in b/c/src/lib/libbsp/powerpc/mcp750/bootloader/Makefile.in
index 5e65472f0f..e0ee75873b 100644
--- a/c/src/lib/libbsp/powerpc/mcp750/bootloader/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/mcp750/bootloader/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/bootloader
+top_builddir = ../../..
+subdir = powerpc/mcp750/bootloader
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/mcp750/console/Makefile.in b/c/src/lib/libbsp/powerpc/mcp750/console/Makefile.in
index 18b7d78d7d..7af8cf54bb 100644
--- a/c/src/lib/libbsp/powerpc/mcp750/console/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/mcp750/console/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/console
+top_builddir = ../../..
+subdir = powerpc/mcp750/console
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/mcp750/include/Makefile.in b/c/src/lib/libbsp/powerpc/mcp750/include/Makefile.in
index 5d65b5801d..26345294f6 100644
--- a/c/src/lib/libbsp/powerpc/mcp750/include/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/mcp750/include/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/include
+top_builddir = ../../..
+subdir = powerpc/mcp750/include
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/mcp750/irq/Makefile.in b/c/src/lib/libbsp/powerpc/mcp750/irq/Makefile.in
index 000acd41c1..a44fecdfa9 100644
--- a/c/src/lib/libbsp/powerpc/mcp750/irq/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/mcp750/irq/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/irq
+top_builddir = ../../..
+subdir = powerpc/mcp750/irq
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/mcp750/openpic/Makefile.in b/c/src/lib/libbsp/powerpc/mcp750/openpic/Makefile.in
index 536bf0a6e9..39ae3ee773 100644
--- a/c/src/lib/libbsp/powerpc/mcp750/openpic/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/mcp750/openpic/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/openpic
+top_builddir = ../../..
+subdir = powerpc/mcp750/openpic
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/mcp750/pci/Makefile.in b/c/src/lib/libbsp/powerpc/mcp750/pci/Makefile.in
index 600d3e7c68..8a975599cb 100644
--- a/c/src/lib/libbsp/powerpc/mcp750/pci/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/mcp750/pci/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/pci
+top_builddir = ../../..
+subdir = powerpc/mcp750/pci
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/mcp750/residual/Makefile.in b/c/src/lib/libbsp/powerpc/mcp750/residual/Makefile.in
index f9258d1fc5..bfa98dde92 100644
--- a/c/src/lib/libbsp/powerpc/mcp750/residual/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/mcp750/residual/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/residual
+top_builddir = ../../..
+subdir = powerpc/mcp750/residual
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/mcp750/start/Makefile.in b/c/src/lib/libbsp/powerpc/mcp750/start/Makefile.in
index b2d14b0644..16b61c2e02 100644
--- a/c/src/lib/libbsp/powerpc/mcp750/start/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/mcp750/start/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/start
+top_builddir = ../../..
+subdir = powerpc/mcp750/start
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/mcp750/startup/Makefile.in b/c/src/lib/libbsp/powerpc/mcp750/startup/Makefile.in
index f43761b93b..0a246d35a2 100644
--- a/c/src/lib/libbsp/powerpc/mcp750/startup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/mcp750/startup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/startup
+top_builddir = ../../..
+subdir = powerpc/mcp750/startup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/mcp750/vectors/Makefile.in b/c/src/lib/libbsp/powerpc/mcp750/vectors/Makefile.in
index 46fef345ea..05f67fd53f 100644
--- a/c/src/lib/libbsp/powerpc/mcp750/vectors/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/mcp750/vectors/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/vectors
+top_builddir = ../../..
+subdir = powerpc/mcp750/vectors
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/mcp750/wrapup/Makefile.in b/c/src/lib/libbsp/powerpc/mcp750/wrapup/Makefile.in
index 5953e6496d..f4f6d6d6fe 100644
--- a/c/src/lib/libbsp/powerpc/mcp750/wrapup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/mcp750/wrapup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/wrapup
+top_builddir = ../../..
+subdir = powerpc/mcp750/wrapup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/motorola_powerpc/Makefile.in b/c/src/lib/libbsp/powerpc/motorola_powerpc/Makefile.in
index 50a9023bd3..1e61ebe329 100644
--- a/c/src/lib/libbsp/powerpc/motorola_powerpc/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/motorola_powerpc/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../..
-subdir = libbsp/powerpc/mcp750
+top_builddir = ../..
+subdir = powerpc/mcp750
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/motorola_powerpc/bootloader/Makefile.in b/c/src/lib/libbsp/powerpc/motorola_powerpc/bootloader/Makefile.in
index 5e65472f0f..e0ee75873b 100644
--- a/c/src/lib/libbsp/powerpc/motorola_powerpc/bootloader/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/motorola_powerpc/bootloader/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/bootloader
+top_builddir = ../../..
+subdir = powerpc/mcp750/bootloader
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/motorola_powerpc/console/Makefile.in b/c/src/lib/libbsp/powerpc/motorola_powerpc/console/Makefile.in
index 18b7d78d7d..7af8cf54bb 100644
--- a/c/src/lib/libbsp/powerpc/motorola_powerpc/console/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/motorola_powerpc/console/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/console
+top_builddir = ../../..
+subdir = powerpc/mcp750/console
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/motorola_powerpc/include/Makefile.in b/c/src/lib/libbsp/powerpc/motorola_powerpc/include/Makefile.in
index 5d65b5801d..26345294f6 100644
--- a/c/src/lib/libbsp/powerpc/motorola_powerpc/include/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/motorola_powerpc/include/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/include
+top_builddir = ../../..
+subdir = powerpc/mcp750/include
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/motorola_powerpc/irq/Makefile.in b/c/src/lib/libbsp/powerpc/motorola_powerpc/irq/Makefile.in
index 000acd41c1..a44fecdfa9 100644
--- a/c/src/lib/libbsp/powerpc/motorola_powerpc/irq/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/motorola_powerpc/irq/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/irq
+top_builddir = ../../..
+subdir = powerpc/mcp750/irq
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/motorola_powerpc/openpic/Makefile.in b/c/src/lib/libbsp/powerpc/motorola_powerpc/openpic/Makefile.in
index 536bf0a6e9..39ae3ee773 100644
--- a/c/src/lib/libbsp/powerpc/motorola_powerpc/openpic/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/motorola_powerpc/openpic/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/openpic
+top_builddir = ../../..
+subdir = powerpc/mcp750/openpic
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/motorola_powerpc/pci/Makefile.in b/c/src/lib/libbsp/powerpc/motorola_powerpc/pci/Makefile.in
index 600d3e7c68..8a975599cb 100644
--- a/c/src/lib/libbsp/powerpc/motorola_powerpc/pci/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/motorola_powerpc/pci/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/pci
+top_builddir = ../../..
+subdir = powerpc/mcp750/pci
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/motorola_powerpc/residual/Makefile.in b/c/src/lib/libbsp/powerpc/motorola_powerpc/residual/Makefile.in
index f9258d1fc5..bfa98dde92 100644
--- a/c/src/lib/libbsp/powerpc/motorola_powerpc/residual/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/motorola_powerpc/residual/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/residual
+top_builddir = ../../..
+subdir = powerpc/mcp750/residual
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/motorola_powerpc/start/Makefile.in b/c/src/lib/libbsp/powerpc/motorola_powerpc/start/Makefile.in
index b2d14b0644..16b61c2e02 100644
--- a/c/src/lib/libbsp/powerpc/motorola_powerpc/start/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/motorola_powerpc/start/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/start
+top_builddir = ../../..
+subdir = powerpc/mcp750/start
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/motorola_powerpc/startup/Makefile.in b/c/src/lib/libbsp/powerpc/motorola_powerpc/startup/Makefile.in
index f43761b93b..0a246d35a2 100644
--- a/c/src/lib/libbsp/powerpc/motorola_powerpc/startup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/motorola_powerpc/startup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/startup
+top_builddir = ../../..
+subdir = powerpc/mcp750/startup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/motorola_powerpc/vectors/Makefile.in b/c/src/lib/libbsp/powerpc/motorola_powerpc/vectors/Makefile.in
index 46fef345ea..05f67fd53f 100644
--- a/c/src/lib/libbsp/powerpc/motorola_powerpc/vectors/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/motorola_powerpc/vectors/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/vectors
+top_builddir = ../../..
+subdir = powerpc/mcp750/vectors
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/motorola_powerpc/wrapup/Makefile.in b/c/src/lib/libbsp/powerpc/motorola_powerpc/wrapup/Makefile.in
index 5953e6496d..f4f6d6d6fe 100644
--- a/c/src/lib/libbsp/powerpc/motorola_powerpc/wrapup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/motorola_powerpc/wrapup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/mcp750/wrapup
+top_builddir = ../../..
+subdir = powerpc/mcp750/wrapup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/papyrus/Makefile.in b/c/src/lib/libbsp/powerpc/papyrus/Makefile.in
index 61937b6c88..06d063a575 100644
--- a/c/src/lib/libbsp/powerpc/papyrus/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/papyrus/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../..
-subdir = libbsp/powerpc/papyrus
+top_builddir = ../..
+subdir = powerpc/papyrus
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/papyrus/dlentry/Makefile.in b/c/src/lib/libbsp/powerpc/papyrus/dlentry/Makefile.in
index 3b8ff95bb1..9928c91c25 100644
--- a/c/src/lib/libbsp/powerpc/papyrus/dlentry/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/papyrus/dlentry/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/papyrus/dlentry
+top_builddir = ../../..
+subdir = powerpc/papyrus/dlentry
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/papyrus/flashentry/Makefile.in b/c/src/lib/libbsp/powerpc/papyrus/flashentry/Makefile.in
index e1447e43b7..61cd2d4701 100644
--- a/c/src/lib/libbsp/powerpc/papyrus/flashentry/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/papyrus/flashentry/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/papyrus/flashentry
+top_builddir = ../../..
+subdir = powerpc/papyrus/flashentry
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/papyrus/include/Makefile.in b/c/src/lib/libbsp/powerpc/papyrus/include/Makefile.in
index 782159ea5a..18a3ad20a3 100644
--- a/c/src/lib/libbsp/powerpc/papyrus/include/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/papyrus/include/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/papyrus/include
+top_builddir = ../../..
+subdir = powerpc/papyrus/include
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/papyrus/startup/Makefile.in b/c/src/lib/libbsp/powerpc/papyrus/startup/Makefile.in
index cd805148c1..cc12954d7c 100644
--- a/c/src/lib/libbsp/powerpc/papyrus/startup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/papyrus/startup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/papyrus/startup
+top_builddir = ../../..
+subdir = powerpc/papyrus/startup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/papyrus/wrapup/Makefile.in b/c/src/lib/libbsp/powerpc/papyrus/wrapup/Makefile.in
index 06a9ea7026..c69a95293a 100644
--- a/c/src/lib/libbsp/powerpc/papyrus/wrapup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/papyrus/wrapup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/papyrus/wrapup
+top_builddir = ../../..
+subdir = powerpc/papyrus/wrapup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/Makefile.in
index 4227652d44..e2c5274bcd 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../..
-subdir = libbsp/powerpc/ppcn_60x
+top_builddir = ../..
+subdir = powerpc/ppcn_60x
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/clock/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/clock/Makefile.in
index 48f8983087..b50b6fb996 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/clock/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/clock/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/clock
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/clock
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/console/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/console/Makefile.in
index 4cb466fcf0..d3edf9cd55 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/console/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/console/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/console
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/console
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/include/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/include/Makefile.in
index 33218193bf..97f561d40f 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/include/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/include/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/include
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/include
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/network/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/network/Makefile.in
index 418036db34..b450da0cd9 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/network/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/network/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/network
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/network
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/nvram/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/nvram/Makefile.in
index 9a223cbe28..94ddcf2257 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/nvram/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/nvram/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/nvram
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/nvram
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/pci/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/pci/Makefile.in
index 51c8b2787f..2e70e72907 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/pci/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/pci/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/pci
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/pci
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/start/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/start/Makefile.in
index 625b6d7e12..480098f6c6 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/start/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/start/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/start
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/start
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/startup/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/startup/Makefile.in
index 8ff1badaf4..0aba51f679 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/startup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/startup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/startup
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/startup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/timer/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/timer/Makefile.in
index 49df695928..34f4eccfe5 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/timer/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/timer/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/timer
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/timer
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/tod/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/tod/Makefile.in
index 6a214544bb..97793d0c64 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/tod/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/tod/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/tod
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/tod
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/universe/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/universe/Makefile.in
index 34a8bac421..c1f8c15ea2 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/universe/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/universe/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/universe
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/universe
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/vectors/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/vectors/Makefile.in
index b310527369..d5f61a0f12 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/vectors/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/vectors/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/vectors
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/vectors
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/ppcn_60x/wrapup/Makefile.in b/c/src/lib/libbsp/powerpc/ppcn_60x/wrapup/Makefile.in
index e8ea40dd97..33d6c6c313 100644
--- a/c/src/lib/libbsp/powerpc/ppcn_60x/wrapup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/ppcn_60x/wrapup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/ppcn_60x/wrapup
+top_builddir = ../../..
+subdir = powerpc/ppcn_60x/wrapup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/psim/Makefile.in b/c/src/lib/libbsp/powerpc/psim/Makefile.in
index 7957c44212..b67caee47c 100644
--- a/c/src/lib/libbsp/powerpc/psim/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/psim/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../..
-subdir = libbsp/powerpc/psim
+top_builddir = ../..
+subdir = powerpc/psim
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/psim/clock/Makefile.in b/c/src/lib/libbsp/powerpc/psim/clock/Makefile.in
index 84316a40c7..b1ee7164d1 100644
--- a/c/src/lib/libbsp/powerpc/psim/clock/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/psim/clock/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/psim/clock
+top_builddir = ../../..
+subdir = powerpc/psim/clock
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/psim/console/Makefile.in b/c/src/lib/libbsp/powerpc/psim/console/Makefile.in
index d5b0b99249..d4b54a5b31 100644
--- a/c/src/lib/libbsp/powerpc/psim/console/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/psim/console/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/psim/console
+top_builddir = ../../..
+subdir = powerpc/psim/console
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/psim/include/Makefile.in b/c/src/lib/libbsp/powerpc/psim/include/Makefile.in
index 8f83b62e3f..e81a30d7c0 100644
--- a/c/src/lib/libbsp/powerpc/psim/include/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/psim/include/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/psim/include
+top_builddir = ../../..
+subdir = powerpc/psim/include
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/psim/shmsupp/Makefile.in b/c/src/lib/libbsp/powerpc/psim/shmsupp/Makefile.in
index 259fb5c0db..11de8846bb 100644
--- a/c/src/lib/libbsp/powerpc/psim/shmsupp/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/psim/shmsupp/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/psim/shmsupp
+top_builddir = ../../..
+subdir = powerpc/psim/shmsupp
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/psim/start/Makefile.in b/c/src/lib/libbsp/powerpc/psim/start/Makefile.in
index 63ec670aec..95fe3bf7de 100644
--- a/c/src/lib/libbsp/powerpc/psim/start/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/psim/start/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/psim/startsim
+top_builddir = ../../..
+subdir = powerpc/psim/startsim
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/psim/startsim/Makefile.in b/c/src/lib/libbsp/powerpc/psim/startsim/Makefile.in
index 63ec670aec..95fe3bf7de 100644
--- a/c/src/lib/libbsp/powerpc/psim/startsim/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/psim/startsim/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/psim/startsim
+top_builddir = ../../..
+subdir = powerpc/psim/startsim
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/psim/startup/Makefile.in b/c/src/lib/libbsp/powerpc/psim/startup/Makefile.in
index d22e3de662..41d579f499 100644
--- a/c/src/lib/libbsp/powerpc/psim/startup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/psim/startup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/psim/startup
+top_builddir = ../../..
+subdir = powerpc/psim/startup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/psim/timer/Makefile.in b/c/src/lib/libbsp/powerpc/psim/timer/Makefile.in
index c6a70cc0ab..9fc354e146 100644
--- a/c/src/lib/libbsp/powerpc/psim/timer/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/psim/timer/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/psim/timer
+top_builddir = ../../..
+subdir = powerpc/psim/timer
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/psim/tools/Makefile.in b/c/src/lib/libbsp/powerpc/psim/tools/Makefile.in
index 55df818447..04835ad868 100644
--- a/c/src/lib/libbsp/powerpc/psim/tools/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/psim/tools/Makefile.in
@@ -252,7 +252,7 @@ profile-am:
profile: profile-am
.PHONY: profile profile-am
-preinstall-am:
+preinstall-am: $(TMPINSTALL_FILES)
preinstall: preinstall-am
.PHONY: preinstall preinstall-am
diff --git a/c/src/lib/libbsp/powerpc/psim/vectors/Makefile.in b/c/src/lib/libbsp/powerpc/psim/vectors/Makefile.in
index d73086743b..50779e0991 100644
--- a/c/src/lib/libbsp/powerpc/psim/vectors/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/psim/vectors/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/psim/vectors
+top_builddir = ../../..
+subdir = powerpc/psim/vectors
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/psim/wrapup/Makefile.in b/c/src/lib/libbsp/powerpc/psim/wrapup/Makefile.in
index 0b1aa0480c..d131cb446b 100644
--- a/c/src/lib/libbsp/powerpc/psim/wrapup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/psim/wrapup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/psim/wrapup
+top_builddir = ../../..
+subdir = powerpc/psim/wrapup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/score603e/Makefile.in b/c/src/lib/libbsp/powerpc/score603e/Makefile.in
index 4ba620b318..861c6003f2 100644
--- a/c/src/lib/libbsp/powerpc/score603e/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/score603e/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../..
-subdir = libbsp/powerpc/score603e
+top_builddir = ../..
+subdir = powerpc/score603e
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/score603e/PCI_bus/Makefile.in b/c/src/lib/libbsp/powerpc/score603e/PCI_bus/Makefile.in
index 2937b78484..81bb4b2ad1 100644
--- a/c/src/lib/libbsp/powerpc/score603e/PCI_bus/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/score603e/PCI_bus/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/score603e/PCI_bus
+top_builddir = ../../..
+subdir = powerpc/score603e/PCI_bus
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/score603e/clock/Makefile.in b/c/src/lib/libbsp/powerpc/score603e/clock/Makefile.in
index fc35f08be4..fe3c1b4bc5 100644
--- a/c/src/lib/libbsp/powerpc/score603e/clock/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/score603e/clock/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/score603e/clock
+top_builddir = ../../..
+subdir = powerpc/score603e/clock
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/score603e/console/Makefile.in b/c/src/lib/libbsp/powerpc/score603e/console/Makefile.in
index 191ff83ccf..194387944e 100644
--- a/c/src/lib/libbsp/powerpc/score603e/console/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/score603e/console/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/score603e/console
+top_builddir = ../../..
+subdir = powerpc/score603e/console
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/score603e/include/Makefile.in b/c/src/lib/libbsp/powerpc/score603e/include/Makefile.in
index 00542907d9..9364d3ef8f 100644
--- a/c/src/lib/libbsp/powerpc/score603e/include/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/score603e/include/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/score603e/include
+top_builddir = ../../..
+subdir = powerpc/score603e/include
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/score603e/start/Makefile.in b/c/src/lib/libbsp/powerpc/score603e/start/Makefile.in
index 666ea06ae9..50fecbef8e 100644
--- a/c/src/lib/libbsp/powerpc/score603e/start/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/score603e/start/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/score603e/start
+top_builddir = ../../..
+subdir = powerpc/score603e/start
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/score603e/startup/Makefile.in b/c/src/lib/libbsp/powerpc/score603e/startup/Makefile.in
index 94664ede79..22f8900061 100644
--- a/c/src/lib/libbsp/powerpc/score603e/startup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/score603e/startup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/score603e/startup
+top_builddir = ../../..
+subdir = powerpc/score603e/startup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/score603e/timer/Makefile.in b/c/src/lib/libbsp/powerpc/score603e/timer/Makefile.in
index d405d01ea4..6bab281d6c 100644
--- a/c/src/lib/libbsp/powerpc/score603e/timer/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/score603e/timer/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/score603e/timer
+top_builddir = ../../..
+subdir = powerpc/score603e/timer
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/score603e/tod/Makefile.in b/c/src/lib/libbsp/powerpc/score603e/tod/Makefile.in
index 8144bc64ac..56b08c3b4d 100644
--- a/c/src/lib/libbsp/powerpc/score603e/tod/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/score603e/tod/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/score603e/tod
+top_builddir = ../../..
+subdir = powerpc/score603e/tod
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/score603e/vectors/Makefile.in b/c/src/lib/libbsp/powerpc/score603e/vectors/Makefile.in
index f851c47bee..f7663d2cbc 100644
--- a/c/src/lib/libbsp/powerpc/score603e/vectors/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/score603e/vectors/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/score603e/vectors
+top_builddir = ../../..
+subdir = powerpc/score603e/vectors
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@
diff --git a/c/src/lib/libbsp/powerpc/score603e/wrapup/Makefile.in b/c/src/lib/libbsp/powerpc/score603e/wrapup/Makefile.in
index 8ffcbaef8f..4d6988d22c 100644
--- a/c/src/lib/libbsp/powerpc/score603e/wrapup/Makefile.in
+++ b/c/src/lib/libbsp/powerpc/score603e/wrapup/Makefile.in
@@ -5,8 +5,8 @@
@SET_MAKE@
srcdir = @srcdir@
top_srcdir = @top_srcdir@
-top_builddir = ../../../..
-subdir = libbsp/powerpc/score603e/wrapup
+top_builddir = ../../..
+subdir = powerpc/score603e/wrapup
RTEMS_ROOT = @RTEMS_ROOT@
PROJECT_ROOT = @PROJECT_ROOT@